how to run verilog files in linux

C++
# following commands for terminal
iverilog -o my_design  testbench.v design.v
vvp my_design# to get waveform (dunmped as "wave.vcd")
gtkwave wave.vcd
Source

Also in C++: